当前位置: 首页 > article >正文

北邮22级信通院数电:Verilog-FPGA(7)第七周实验(2):BCD七段显示译码器(关注我的uu们加群咯~)

北邮22信通一枚~

跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章

持续关注作者 迎接数电实验学习~

获取更多文章,请访问专栏:

北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客

关注作者的uu们可以进群啦~ 

 

目录

一.verilog代码

1.1 decoder_led.v

1.2 decoders.v

二.管脚分配

三.效果展示

四.问题


一.verilog代码

1.1 decoder_led.v

module decoder_led(A,RBI,LT,BI_RBO,seg_led,seg_led_DP,seg_led_DIG);
	input [3:0] A;
	input RBI;//灭零输入信号
	input LT;//试灯信号
	input BI_RBO;//消隐输入、灭零输出信号
	output [6:0]seg_led;//输出信号
	
	output wire seg_led_DP;
	output wire seg_led_DIG;
	assign seg_led_DP=0;
	assign seg_led_DIG=0;
	
	decoders decoder_1(A,RBI,LT,BI_RBO,seg_led);//高位
endmodule

1.2 decoders.v

module decoders
(
	A,
	RBI,
	LT,
	BI,
	out,
	RBO,
);

	input [3:0]A;
	output reg [6:0]out;
	input LT,RBI,BI;
	output wire RBO;
	
	always @(*)begin
		casex({LT,RBI,A,BI})
			7'b110_0001:out=7'b111_1110;
			7'b1x0_0011:out=7'b011_0000;
			7'b1x0_0101:out=7'b110_1101;
			7'b1x0_0111:out=7'b111_1001;
			7'b1x0_1001:out=7'b011_0011;
			7'b1x0_1011:out=7'b101_1011;
			7'b1x0_1101:out=7'b101_1111;
			7'b1x0_1111:out=7'b111_0000;
			
			7'b1x1_0001:out=7'b111_1111;
			7'b1x1_0011:out=7'b111_1011;
			7'b1x1_0101:out=7'b000_1101;
			7'b1x1_0111:out=7'b001_1001;
			7'b1x1_1001:out=7'b010_0011;
			7'b1x1_1011:out=7'b100_1011;
			7'b1x1_1101:out=7'b000_1111;
			7'b1x1_1111:out=7'b000_0000;
			7'bxxx_xxx0:out=7'b000_0000;
			7'b100_0000:out=7'b000_0000;
			7'b0xx_xxx1:out=7'b111_1111;
			
			default out=7'b000_0000;
			
		endcase
	end
	assign RBO=(LT& ~RBI &(A==4'b0000))?0:1;
endmodule

二.管脚分配

三.效果展示

通过拨动拨码开关可以控制七段数码管显示不同的数字。拨码开关是4为二进制。

四.问题

如果发现示数显示有问题,请重新综合之后再烧录一遍,看效果。

本实验仅展示点亮七段数码管,所以大于数字9的4位二进制拨码开关组合是任意项,输出为乱码。 


http://www.kler.cn/news/107423.html

相关文章:

  • 睿趣科技:抖音小店申请流程
  • 【每日一题Day361】LC2558从数量最多的堆取走礼物 | 大顶堆
  • 接口自动化测试工具,Postman使用详解
  • Groovy安装开发环境
  • 新手向:如何考虑将数据库技术和大数据框架结合使用?
  • iPhone手机分辨率整理
  • 数据结构—线性表(下)
  • FoLR:Focus on Local Regions for Query-based Object Detection论文学习笔记
  • MES管理系统解决方案实现生产信息全程追溯
  • 『力扣刷题本』:删除排序链表中的重复元素
  • 2023/10/28 JAVA学习
  • 面试题之JavaScript经典for循环(var let)
  • 通过el-tree 懒加载树,创建国家地区四级树
  • 数组与链表算法-矩阵算法
  • FileInputStream文件字节输入流
  • 使用easypoi-spring-boot-starter 4.1.1导入excel报错NoSuchMethodError和NoSuchMethodError
  • python 字符串str与字典dict转换
  • 【Qt】窗口和对话框区别、主窗口和二级窗口区别、QMainWindow和QDialog区别
  • Ubuntu deadsnakes 源安装新版 python
  • 蓝桥杯 Java k倍区间
  • 0047【Edabit ★☆☆☆☆☆】Minimal I: If Boolean Then Boolean
  • RK3588开发笔记-USB3.0接口调试
  • VMware打开共享虚拟机后找不到/mnt/hgfs/文件夹,以及不能拖拽/复制粘贴等操作,ubuntu不能安装VMware tools
  • 3台Centos7快速部署Kafka集群
  • 如何在Node.js中使用环境变量或命令行参数来设置HTTP爬虫ip?
  • 【Proteus仿真】【Arduino单片机】PWM电机调速
  • Mysql的JDBC知识点
  • 【C++的OpenCV】第十四课-OpenCV基础强化(二):访问单通道Mat中的值
  • 轻量级仿 Spring Boot=嵌入式 Tomcat+Spring MVC
  • Qt下实现支持多线程的单例模式