当前位置: 首页 > article >正文

fpga系列 HDL:全连接层InegrationFCpart.v的权重读取 $readmemh

$readmemh

语法

$readmemh("filename", memory_array);//  memory_array为 要存储数据的内存数组
  • 从包含十六进制数据的文件中读取数据,并将这些数据加载到内存中。示例如下:
reg [7:0] memory [0:255];  // 256字节的内存数组
initial begin
    $readmemh("data.hex", memory);
end
  • 地址顺序:数据用换行或空格符分隔,注释用"//"。件中的数据顺序会对应于内存数组中的地址顺序。文件的第一行数据将被加载到内存数组的第一个位置,第二行数据到第二个位置,依此类推。示例:
00 // 3个8bit16进制数
01
02
...

CODE

// https://github.com/omarelhedaby/CNN-FPGA/blob/master/Final%20Code%20Files/Part%205-%20Integration/weightMemory.v
module weightMemory(clk,address,weights);

parameter DATA_WIDTH = 32;
parameter INPUT_NODES = 100;
parameter OUTPUT_NODES = 32;
parameter file = "C:/Users/ahmed/Desktop/ANN/Weight Files/weights1_IEEE.txt";

localparam TOTAL_WEIGHT_SIZE = INPUT_NODES * OUTPUT_NODES;

input clk;
input [7:0] address;
output reg [DATA_WIDTH*OUTPUT_NODES-1:0] weights;

reg [DATA_WIDTH-1:0] memory [0:TOTAL_WEIGHT_SIZE-1];

integer i;

always @ (posedge clk) begin	
	if (address > INPUT_NODES-1 || address < 0) begin
		weights = 0;
	end else begin
		for (i = 0; i < OUTPUT_NODES; i = i + 1) begin
			weights[(OUTPUT_NODES-1-i)*DATA_WIDTH+:DATA_WIDTH] = memory[(address*OUTPUT_NODES)+i];
		end
	end
end

initial begin
	$readmemh(file,memory);
end

endmodule

http://www.kler.cn/a/298514.html

相关文章:

  • 【微服务】SpringBoot 整合Redis实现延时任务处理使用详解
  • 【LeetCode Hot100 贪心算法】 买卖股票的最佳时机、跳跃游戏、划分字母区间
  • 自创“九转化形”算法设计,禁止抄袭
  • 左神算法基础巩固--3
  • 【实用技能】如何使用 .NET C# 中的 Azure Key Vault 中的 PFX 证书对 PDF 文档进行签名
  • Node.js JXcore 打包教程
  • Oracle使用序列后提示违反唯一约束---解决办法
  • 【人工智能】枢纽:数据驱动洞察引领未来智能系统
  • SFC CSS 功能:深层选择/插槽选择器/动态绑定
  • axios取消请求
  • 【Docker】容器简介和构建镜像
  • 18、Gemini-Pentest-v1
  • Oracle数据库的启动和关闭
  • 【区块链通用服务平台及组件】云链白泽区块链 baas 平台
  • 第142天: 内网安全-权限维持黄金白银票据隐藏账户C2 远控RustDeskGotoHTTP
  • Python-获取excel数据 - 成绩统计
  • Telephony VOLTE配置
  • 高度可定制的电竞鼠标,雷柏VT1 PRO MAX体验
  • ADTEC自动阻抗匹配器维修AMVG-2000-FY AMVG-1000-CD
  • 未来的去中心化网络:Web3与AI的深度融合探讨
  • 【组边际图】:附Origin详细画图流程
  • [笔记]电参数测量的现有方案[进行中...]
  • 分享基于PDF.JS的移动端PDF阅读器代码
  • 二个命令解决docker 拉取镜像超时的问题
  • PDF样本图册转换为一个链接,随时打开无需印刷
  • 元宇宙虚拟展厅是什么?有哪些优势?