当前位置: 首页 > article >正文

【Verilog学习日常】—牛客网刷题—Verilog快速入门—VL24

边沿检测

有一个缓慢变化的1bit信号a,编写一个程序检测a信号的上升沿给出指示信号rise,当a信号出现下降沿时给出指示信号down。
注:rise,down应为单脉冲信号,在相应边沿出现时的下一个时钟为高,之后恢复到0,一直到再一次出现相应的边沿。

使用Verilog HDL实现以上功能并编写testbench验证。

输入描述:

clk:系统时钟信号

rst_n:异步复位信号,低电平有效

a:单比特信号,作为待检测的信号

输出描述:

rise:单比特信号,当输入信号a出现上升沿时为1,其余时刻为0

down:单比特信号,当输入信号a出现下降沿时为1,其余时刻为0

解题思路:

对单比特信号a的判定是对a的上升沿和下降沿的判定,如图所示:

(注:信号a的变化均在时钟信号的上升沿来临时进行采集(如何理解这句话?))

因此,不仅要判断a的值,还要判断当前a^{'}的值;

代码如下:
`timescale 1ns/1ns
module edge_detect(
	input clk,
	input rst_n,
	input a,
	
	output reg rise,
	output reg down
);
	reg a_b;
	always @(posedge clk or negedge rst_n) begin

		a_b <= a;
	
	end
	always @(posedge clk or negedge rst_n) begin

		if (!rst_n) begin
			rise <= 1'b0;
			down <= 1'b0;
		end
		else begin
			if (a_b == 1'b0 && a == 1'b1) begin
				rise <= 1'b1;
				down <= 1'b0;
			end
			else if  (a_b == 1'b1 && a == 1'b0) begin
				rise <= 1'b0;
				down <= 1'b1;
			end
			else begin
				rise <= 1'b0;
				down <= 1'b0;
			end
		end
	end

endmodule

该代码下的RTL视图如下所示:


http://www.kler.cn/news/311841.html

相关文章:

  • 基于CNN的10种物体识别项目
  • Spark-ShuffleWriter-UnsafeShuffleWriter
  • react是什么?
  • 数据结构、STL
  • 私域直播平台带源码
  • FRIDA-JSAPI:Java使用
  • leetcode:字符串中的第一个唯一字符
  • YOLOv10轻量化快速涨点之改进AKConv
  • Linux:vim编辑技巧
  • 【STL】priority_queue 基础,应用与操作
  • C++ 头文件 .h和.hpp的介绍与区别
  • 深度学习常见面试题及答案(11~15)
  • [Linux]自定义shell详解
  • HTML/CSS/JS学习笔记 Day4(CSS--C1 选择器声明)
  • .dav视频文件及格式转换
  • HashMap高频面试知识点
  • 【Ubuntu】ubuntu如何使用ufw(Uncomplicated Firewall)管理防火墙?一文带你学会!
  • Ubuntu-24.04中Docker-Desktop无法启动
  • 怎么操作使http变成https访问?
  • 力扣 中等 2300.咒语和药水的成功对数
  • OpenAI最新发布的o1-preview模型,和GPT-4o到底哪个更强?
  • 驱动---动态模块编译
  • win11开始按钮点不开(已解答)
  • sql中拼接操作
  • 从“治理”到“智理”,看大模型如何赋能智慧政务
  • Linux 信号的产生
  • Windows本地pycharm使用远程服务器conda虚拟环境
  • 【Android】Handler用法及原理解析
  • Rust编程的作用域与所有权
  • 面向开发者的LLM入门教程(学习笔记02):提示原则