当前位置: 首页 > article >正文

MFC工控项目实例之十九手动测试界面输出信号切换

承接专栏《MFC工控项目实例之十八手动测试界面输入信号实时检测》
根据板卡设置界面组合框选项设定的输出信号,通过读取文件中保存的键值,用单选按钮切换输出信号接通、关闭。
1、在Data_1.h文件中添加代码

CString COMB_Data_O_1[]={"夹紧","密闭","进气","平衡","排气","黄灯","绿灯","红灯"};
int COMB_Data_O_Number_1;
unsigned char b_O_1[16];
CString b_str_O_1[16];
unsigned short O_1[16];

2、在JogTest.cpp中添加代码

CJogTest::CJogTest(CWnd* pParent /*=NULL*/)
	: CDialog(CJogTest::IDD, pParent)
{
	...
	COMB_Data_O_Number_1=(sizeof(COMB_Data_O_1) / sizeof(COMB_Data_O_1[1]));

}


BOOL CJogTest::OnInitDialog() 
{
	CDialog::OnInitDialog();
	...
		for( i=0;i<=COMB_Data_O_Number_1;i++)
   {
	   
	GetPrivateProfileString("输出信号",COMB_Data_O_1[i],NULL,b_str_O_1[i].GetBuffer(20),20,strFilePath);	
     O_1[i]=_ttoi(b_str_O_1[i]);
	}

void CJogTest::OnRadio3() 
{
	DO6408Bit(nAddr_1,O_1[1],0);
	
}

void CJogTest::OnRadio4() 
{
	DO6408Bit(nAddr_1,O_1[1],1);
	
}

void CJogTest::OnRadio5() 
{
  DO6408Bit(nAddr_1,O_1[2],0);
	
}

void CJogTest::OnRadio6() 
{
	DO6408Bit(nAddr_1,O_1[2],1);
	
}

void CJogTest::OnRadio7() 
{
	DO6408Bit(nAddr_1,O_1[3],0);
	
}

void CJogTest::OnRadio8() 
{
	DO6408Bit(nAddr_1,O_1[3],1);
	
}

void CJogTest::OnRadio9() 
{
	DO6408Bit(nAddr_1,O_1[4],0);
	
}

void CJogTest::OnRadio10() 
{
	DO6408Bit(nAddr_1,O_1[4],1);
	
}

void CJogTest::OnRadio11() 
{
	DO6408Bit(nAddr_1,O_1[5],0);
	DO6408Bit(nAddr_1,O_1[6],0);
	DO6408Bit(nAddr_1,O_1[7],0);
	
}

void CJogTest::OnRadio12() 
{
	DO6408Bit(nAddr_1,O_1[5],1);
	DO6408Bit(nAddr_1,O_1[6],0);
	DO6408Bit(nAddr_1,O_1[7],0);
	
}

void CJogTest::OnRadio13() 
{
	DO6408Bit(nAddr_1,O_1[6],1);
	DO6408Bit(nAddr_1,O_1[5],0);
	DO6408Bit(nAddr_1,O_1[7],0);
	
}

void CJogTest::OnRadio14() 
{
   DO6408Bit(nAddr_1,O_1[7],1);
   DO6408Bit(nAddr_1,O_1[5],0);
   DO6408Bit(nAddr_1,O_1[6],0);
	
}

http://www.kler.cn/news/328422.html

相关文章:

  • Python办公自动化之Excel
  • [C++] 小游戏 征伐 SLG DNF 0.0.1 版本 zty出品
  • ARM base instruction -- ic
  • 滚雪球学MySQL[2.3讲]:MySQL数据过滤与排序详解:WHERE条件、ORDER BY排序与LIMIT分页查询
  • 物联网智能项目研究
  • 如何创建AWS云账号
  • 思维+贪心,CF 1210B - Marcin and Training Camp
  • SD-WebUI forge支持flux模型。算力互联forge镜像使用教程
  • 【鸿蒙学习】深入了解UIAbility组件
  • Java在用增强for循环遍历集合时删除元素,抛出java.util.ConcurrentModificationException异常
  • 【Verilog学习日常】—牛客网刷题—Verilog企业真题—VL69
  • 决策树中联合概率分布公式解释说明
  • 如何判断电器外壳是否带电
  • 十四、磁盘的管理
  • SpringBoot之Profile的两种使用方式
  • 二叉搜索树详解
  • 基于ARX结构的流密码算法Salsa20
  • mybatis-puls快速入门
  • Nginx的核心架构和设计原理
  • EnvoyFilter 是 Istio 中用于直接修改 Envoy 配置的一种资源类型
  • 帝都程序猿十二时辰
  • modelsim仿真 wave视图里 数据位宽和进制怎么显示
  • 通信工程学习:什么是CSMA/CD载波监听多路访问/冲突检测
  • 计算机知识科普问答--25(121-125)
  • 关于KKT条件的线性约束下非线性问题-MATLAB
  • 【机器学习】过拟合与欠拟合——如何优化模型性能
  • wx小程序中,商城订单详情显示还有多少分钟关闭
  • 「C++系列」模板
  • 项目实战:构建高效可扩展的Flask Web框架:集成Flask-SQLAlchemy、Marshmallow与日志管理
  • SpringBoot集成Redis及SpringCache缓存管理