当前位置: 首页 > article >正文

【verilog】模十计数器


文章目录

  • 前言
  • 代码


前言

进行 FPGA 模十计数器 实验

  • 仿真结果
    在这里插入图片描述

代码

  • 主代码


// module
module count(
	clk,
	rst_n,
	count,
	clk1,
	led
	);


// def io
input clk;
input rst_n;
output reg [3:0] count;
output reg clk1;
output reg [7:0] led;

// always@ part, or main()
always @(posedge clk or negedge rst_n)
begin 
	if (~rst_n)

		begin
			count <= 4'b0000;
			clk1 <= 1'b0;
		end

	else if (count < 4'b0100)
		begin
			count <= count + 1;
			clk1 <= 1'b0;
		end

	else if (count < 4'b1001)
		begin
			count <= count + 1;
			clk1 <= 1'b1;
		end

	else if (count == 4'b1001)
		begin
			count <= 4'b0000;
			clk1 <= 1'b0;
		end
	
// end of whole begin
end




// --------------------------------------- always @ count --------------------------------------- //
always @(count) // todo: write the counter part
begin
	case(count)
		4'd0: led <= 8'b0000_0001;
		4'd1: led <= 8'b0000_0010;
		4'd2: led <= 8'b0000_0100;
		4'd3: led <= 8'b0000_1000;
		4'd4: led <= 8'b0001_0000;
		4'd5: led <= 8'b0010_0000;
		4'd6: led <= 8'b0100_0000;
		4'd7: led <= 8'b1000_0000;
		4'd8: led <= 8'b1111_1111;
		4'd9: led <= 8'b0000_0000;

		// default
		default: led <= 8'b0000_0000;
	endcase
end

endmodule




  • 测试代码

`timescale 1ns/1ns

// module
module count_tst();
	// distribute value & name
	reg clk;
	reg rst_n;

	wire [3:0] count;
	wire clk1;
	wire [7:0] led;
	
	parameter period = 2;
	
	// init
	initial begin
		clk = 1'b0;
		rst_n = 1'b0;
		
		// delay
		#20 rst_n = 1'b1;
	end

	always
	begin
		#(period/2) clk = ~clk;
	end

	count u0(
		.clk(clk),
		.rst_n(rst_n),
		.count(count),
		.clk1(clk1),
		.led(led)
		);

endmodule





http://www.kler.cn/a/373194.html

相关文章:

  • 阿里云物联网的通信方式
  • C#/WinForm 基于ffmpeg视频流转GIF
  • 如何写好prompt以及评测prompt的好坏
  • Golang反射在实际开发中的应用场景
  • Date工具类详细汇总-Date日期相关方法
  • 【RUOYI3.8.8】框架参考笔记
  • 电商直播带货乱象频出,食品经销商如何规避高额损失?
  • Word 每次打开时都会弹出“要还原的文件”对话框
  • iframe视频宽度高度自适应( pc+移动都可以用,jq写法 )
  • Unity控制物体透明度的改变
  • Matplotlib 网格线
  • PostgreSQL 删除角色
  • 面向对象高级-static
  • 为什么选择 Spring data hadoop
  • 蓝牙BLE开发——红米手机无法搜索蓝牙设备?
  • 编程小白如何成为大神?大学新生的最佳入门攻略
  • QT 12.自定义信号、信号emit、信号参数注册_ev
  • 【Python · Pytorch】人工神经网络 ANN(中)
  • Agile敏捷方法
  • 内存马浅析
  • 关于深度学习方向学习的一些建议
  • 计算机低能儿从0刷leetcode | 33.搜索旋转排列数组
  • 10.30Python_异常文件操作json正则
  • 12. MapReduce全局计数器
  • Vue3实现地球上加载柱体
  • 如何将 Excel 数据转换为 SQL 脚本:从入门到实战