当前位置: 首页 > article >正文

FPGA 使用 CLOCK_DEDICATED_ROUTE 约束

使用 CLOCK_DEDICATED_ROUTE 约束
CLOCK_DEDICATED_ROUTE 约束通常在从一个时钟区域中的时钟缓存驱动到另一个时钟区域中的 MMCM PLL 时使
用。默认情况下, CLOCK_DEDICATED_ROUTE 约束设置为 TRUE ,并且缓存 /MMCM PLL 对必须布局在相同的时钟区
域中。
注释: 在使用 UltraScale 器件工作时,勿在端口直接驱动的网络上应用 CLOCK_DEDICATED_ROUTE 属性。相反,将 CLOCK_DEDICATED_ROUTE 属性应用到 IBUF
输出。
当从一个时钟区域中的时钟缓存驱动垂直相邻时钟区域中的 MMCM PLL 时,必须将 7 系列器件的 CLOCK_DEDICATED_ROUTE 设置为 BACKBONE UltraScale
件的 CLOCK_DEDICATED_ROUTE 设置为 SAME_CMT_COLUMN 。这可以防止实现错误,并确保时钟仅使用全局时钟资源进行布线。以下示例显示了在垂直相邻时钟
区域中驱动两个 PLL 的时钟缓存。
set_property CLOCK_DEDICATED_ROUTE SAME_CMT_COLUMN [get_nets -of [get_pins BUFG_inst_0/O]]
set_property LOC PLLE3_ADV_X0Y0 [get_cells PLLE3_ADV_inst_0]
set_property LOC PLLE3_ADV_X0Y4 [get_cells PLLE3_ADV_inst_1]
当从时钟缓存驱动到不垂直相邻的其他时钟区域时,必须将 7 系列器件的 CLOCK_DEDICATED_ROUTE 设置为 FALSE
UltraScale 器件的 ANY_CMT_COLUMN 设置为 CLOCK_DEDICATED_ROUTE 。这可以防止实现错误,并确保时钟仅使用
全局时钟资源进行布线。以下示例和图显示了驱动与输入缓存不在同一时钟区域列上的两个 PLL BUFGCE
set_property CLOCK_DEDICATED_ROUTE ANY_CMT_COLUMN [get_nets -of [get_pins BUFG_inst_0/O]]
set_property LOC PLLE3_ADV_X1Y0 [get_cells PLLE3_ADV_inst_0]
set_property LOC PLLE3_ADV_X1Y4 [get_cells PLLE3_ADV_inst_1]

http://www.kler.cn/a/520260.html

相关文章:

  • Semantic Kernel - Kernel理解
  • 修改docker共享内存shm-size
  • 14-6-1C++STL的list
  • ES6 类语法:JavaScript 的现代化面向对象编程
  • 【全栈】SprintBoot+vue3迷你商城(9)
  • [VSCode] vscode下载安装及安装中文插件详解(附下载链接)
  • 【JS|第28期】new Event():前端事件处理的利器
  • Vue 3 中的响应式系统:ref 与 reactive 的对比与应用
  • OpenAI掀桌子!免费版ChatGPT,提供o3-mini模型!
  • 【故障诊断】量子粒子群优化极限学习机实现乳腺癌诊断,(QPSO-ELM)数据分类
  • 深度解析iTransformer:维度倒置与高效注意力机制的结合
  • vue3 react区别
  • C++——list的了解和使用
  • 美格智能AIMO智能体+DeepSeek-R1模型,AI应用的iPhone时刻来了
  • fantastic-admin5.0发布,Vue3 + django重构(一)后端
  • LeetCode题练习与总结:最短无序连续子数组--581
  • android的gradle
  • fiscoBcos中使用工具脚本在本地搭建一条4节点链
  • 周末总结(2024/01/25)
  • C#常考随笔3:对象比较obj1.Equals(obj2)== true时候,hashcode是否相同?
  • Java的循环结构
  • 【数据结构】_链表经典算法OJ:合并两个有序数组
  • 随笔十七、eth0单网卡绑定双ip的问题
  • 题解 洛谷 Luogu P1113 杂务 图论 BFS C++
  • 计算机网络之链路层
  • CommonAPI学习笔记-1