#systemverilog# Verilog与SystemVerilog发展历程及关系
1. Verilog的发展历史
-
1984年:Gateway Design Automation公司开发了Verilog,最初作为专有语言,用于逻辑仿真和数字电路设计。
-
1990年:Cadence收购Gateway,Verilog逐步开放,成为行业标准。
-
1995年(IEEE 1364-1995):首个IEEE标准,即Verilog-1995,定义基础语法和仿真语义。
-
2001年(IEEE 1364-2001):Verilog-2001引入重大改进:
-
生成语句(
generate
)、多维数组、符号常量(parameter
增强)、组合逻辑敏感列表简化(always @*
)。
-
-
2005年(IEEE 1364-2005):Verilog-2005小幅修订,主要修复问题,未增加新特性。
</