当前位置: 首页 > article >正文

FPGA设计中IOB约束

IOB,是Input Output Buffer的缩写,

Vivado工具对IOB约束的英文解释为:Place Register into IOB,

IOB约束多用于高速数据采样中,用于减小输入信号引脚到寄存器的路径延时,同时也用于保证多次综合后的路径延时基本不变。

Verilog中IOB约束的例子:

(*IOB="true"*)reg adc_in_iob;

always @(posedge clk) begin
	if(rst)
		adc_in_iob <= 1'b0;
	else
		adc_in_iob <= adc_in;
end

VHDL中IOB约束的例子:

signal cmos_din_iob : std_logic_vector(3 downto 0);
attribute IOB : string;
attribute IOB of cmos_din_iob : signal is "TRUE";

process(clk,rst)
begin
	if rst ='1' then
		cmos_din_iob <= (others=>'0');
	elsif clk'event and clk='1' then
		cmos_din_iob <= cmos_din;
	end if;
end process;


http://www.kler.cn/a/613845.html

相关文章:

  • selenium之element常见属性、方法
  • 【QT5 多线程示例】线程池
  • 网络体系架构
  • 基于ngnix配置本地代理到对应服务器
  • STM32 DMA直接存储器存取
  • 机器学习knnlearn4
  • 如何同步fork的更新
  • 利用python调接口获取物流标签,并转成PDF保存在指定的文件夹。
  • Scala课后总结(2)
  • 内核编程十一:进程的数据结构
  • springboot 实现base64格式wav转码并保存
  • 第 4 章 | Solidity安全 权限控制漏洞全解析
  • GelSight视触觉3D显微系统在透明材料检测中的应用
  • Go红队开发—CLI框架(二)
  • 网络华为HCIA+HCIP 防火墙
  • SpringBoot第一节
  • 当编程语言有了人格
  • HCIP(TCP)(2)
  • 「HTML5+Canvas实战」星际空战游戏开发 - 纯前端实现 源码即开即用【附演示视频】
  • 2025 年中国家电零售与创新趋势解析:以旧换新国补激活需求,AI 技术渗透至研发、供应链、营销