当前位置: 首页 > article >正文

「Verilog学习笔记」输入序列连续的序列检测

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns
module sequence_detect(
	input clk,
	input rst_n,
	input a,
	output reg match
	);

	reg [7:0] a_tem ; 

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) match <= 1'b0 ; 
		else if (a_tem == 8'b0111_0001) match <= 1'b1 ; 
		else match <= 1'b0 ; 
	end

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) a_tem <= 8'b0000_0000 ; 
		else a_tem <= {a_tem[6:0], a} ; 
	end
  
endmodule

http://www.kler.cn/news/136706.html

相关文章:

  • 云计算行业应用实训室建设方案
  • CSDN介绍
  • 【忍无可忍,无需再忍】永久解决xshell or xftp 更新问题
  • 深度学习调参大法
  • Pandas模块之垂直或水平交错条形图
  • 硬件基础知识补全计划【一】电阻
  • Mistral 7B 比Llama 2更好的开源大模型 (四)
  • 身份证阅读器和社保卡读卡器Harmony鸿蒙系统ArkTS语言SDK开发包
  • PDF控件Spire.PDF for .NET【转换】演示:自定义宽度、高度将 PDF 转 SVG
  • ubuntu20编译安装pkg-config
  • [一周AI简讯]OpenAI宫斗;微软Bing Chat更名Copilot;Youtube测试音乐AI
  • 从哪些方面分析Linux内核源码
  • 如何实现MATLAB与Simulink的数据交互
  • 07_面向对象高级_内部类
  • 智能电销机器人好做吗?ai机器人有没有用?
  • HEVC参考帧技术
  • SVN 修改版本库地址url路径
  • 运维小技能:centos安装宝塔
  • 生产环境_移动目标轨迹压缩应用和算法处理-Douglas-Peucker轨迹压缩算法
  • 【Django-DRF用法】多年积累md笔记,第3篇:Django-DRF的序列化和反序列化详解
  • 【MATLAB源码-第83期】基于matlab的MIMO中V-BALST结构ZF和MMSE检测算法性能误码率对比。
  • 『亚马逊云科技产品测评』活动征文|开发一个手机官网
  • uniapp相关记录
  • 使用npm发布自己的组件库
  • vs2017 调试时 无法使用Lamda expressions表达式
  • [GFCTF 2021]wordy 编写去花IDAPYTHON