当前位置: 首页 > article >正文

microblaze仿真

verdi+vcs

(1) vlogan/vcs增加编译选项 -debug_access+all -kdb -lca

(2) 在 simulation 选项中加入下面三个选项

-gui=verdi

+UVM_VERDI_TRACE="UVM_AWARE+RAL+HIER+COMPWAVE"

+UVM_TR_RECORD

这里 -gui=verdi是启动verdi 和vcs联合仿真。+UVM_VERDI_TRACE 这里是记录 UVM中 register,component 的波形。+UVM_TR_RECORD记录 transaction的信息。

用verdi调试时虽然可以单步执行,但是task中的局部变量一般都不可读取,造成调试困难。

“Undefined System Task call to '$fsdbDumpfile'”

需要包含verdi的路径:module load verdi

直接点击Run Behavioral Simulation,会编译报错提示文件重复包含,需要对模块重命名,

可是该package文件已经使用·define命令进行单次包含了,为什么还会提示重复冲突呢?

怀疑可能使用的vcs版本有bug,换了一个更高版本的vcs结果是一样的。

最后多次尝试发现,是由于vivado生产的编译脚本是分段编译的,也就是说这个重复冲突的文件在多个vlogan命令里被独立包含了,而又都编译到了同一个lib库中,于是手动修改compile.sh文件,将文件合并编译后该问题解决。

hvp/xlm文件打开

使用verdi -cov

参考:

如何用Verdi查看UVM环境中的变量?_verdi_仿真_debug

Verdi UVM Debug Mode 简单使用-CSDN博客

Synopsys VCS 编译时,启用debug选项-CSDN博客

vcs编译重复定义文件问题_module previously declared-CSDN博客

VCS编译命令 - 知乎


http://www.kler.cn/news/162447.html

相关文章:

  • uni-app 微信小程序之好看的ui登录页面(一)
  • 【华为OD题库-063】字符匹配-java
  • 『CV学习笔记』轻量化卷积神经网络MobileNet从V1到V3
  • 手机充电器市场分析:预计2028年将达到82亿美元
  • 探索人工智能领域——每日20个名词详解【day11】
  • oracle与sqlsever的区别
  • android项目实战之使用框架 集成多图片、视频的上传
  • 【无线网络技术】——无线局域网(学习笔记)
  • Github与Gitlab
  • C# 语法笔记
  • C++ 关于结构体struct的一些总结
  • 几何尺寸智能测量仪为您带来经济效益提升
  • 喝酒谁先倒
  • 【五分钟】熟悉python列表和元组的异同点【看这篇够用!建议收藏】
  • 极简模式,助力宏观数据监控
  • 图片和文字如何生成一个二维码?图文生成二维码的做法
  • 万界星空科技MES---制造企业的加工生产模式
  • Meta Platforms推出Imagine:基于Emu的免费AI文本到图像生成器服务
  • 【Linux】stat命令使用
  • 【EMNLP 2023】基于知识迁移的跨语言机器阅读理解算法
  • 【Linux系统化学习】命令行参数 | 环境变量的再次理解
  • springboot快速入门
  • python3: jieba(“结巴”中文分词库) .2023-11-28
  • 028:简单的foreach
  • Android Studio的笔记--String和byte[]
  • 朴素贝叶斯 贝叶斯方法
  • Servlet should have a mapping
  • 使用ASIRequest库进行Objective-C网络爬虫示例
  • Mysql自动备份脚本(本地备份、远程备份、删除冗余备份)
  • 记录 | 使用samba将ubuntu文件夹映射到windows实现共享文件夹