当前位置: 首页 > article >正文

基于DE1-SOC的My_first_fpga

1. 双击桌面的Quartus图标打开Quartus工具。

2. 指定工程路径。

image-20241012110401628

3. 点击四次Next,选择5csema5f31c6器件(这个器件正好是DE1-SOC开发板上对应的FPGA device):

image-20241012110533190

4. 再点击三次Next,然后点击Finish,这样工程就创建好了。

image-20241012110618109

5. 点击File——New,选择Verilog HDL File,点击OK来创建top文件。

image-20241012110701552

image-20241012110715954

6. 将点亮LED 的verilog代码复制到.v文件当中:

module led(
        input  wire clk,        // 50MHz input clock
        output wire LED         // LED ouput
);
 
// create a binary counter
reg [31:0] cnt;                 // 32-bit counter
 
initial begin
 
cnt <= 32'h00000000;            // start at zero
end
​
always @(posedge clk) begin
    cnt <= cnt + 1;                 // count up
end
​
//assign LED to 25th bit of the counter to blink the LED at a few Hz
assign LED = cnt[24];
​
endmodule

image-20241012111151937

7. 点击保存:

image-20241012111237826

8. 点击分析与综合:

image-20241012111454308

9. 点击Pin Planner:

image-20241012111525253

10. 引脚分配如下:

image-20241012111708051

11. 关闭Pin Planner窗口,进行全编译:

image-20241012111745381

12. 给DE1-SOC开发板上电,插上USB Blaster线缆并连接到PC机。

13. 打开Programmer窗口进行sof文件的下载:

image-20241012111916660

image-20241012112138458

image-20241012112224959

image-20241012112322547

image-20241012112345766

image-20241012112443186

可以观察到DE1-SOC的LEDR0在闪烁:


http://www.kler.cn/news/356351.html

相关文章:

  • 14.归一化——关键的数据预处理方法
  • 汽车与航空领域的功能安全对比:ISO 26262-6 与 DO-178C 的差异浅析
  • 【分布式微服务云原生】《Redis 大 Key 和热点 Key:问题与解决方案全攻略》
  • Python画笔案例-083 绘制 3D世界坐标轴
  • Gin框架操作指南04:GET绑定
  • Python编程探索:从基础语法到循环结构实践(下)
  • python高级函数详解
  • [含文档+PPT+源码等]精品基于Nodejs实现的微信小程序校园心理健康平台设计与实现
  • Unity性能优化
  • 拓扑学与集合论的关系
  • 除了 Python,还有哪些语言适合做爬虫?
  • 从空口分析经典蓝牙A2DP和AVRCP协议
  • 2012年国赛高教杯数学建模A题葡萄酒的评价解题全过程文档及程序
  • 周末总结(2024/10/19)
  • GDAL+C#实现矢量多边形转栅格
  • ●day 35 动态规划part01
  • 基于PHP+MySQL+Vue的医院预约挂号管理系统
  • ACL与NAT协议
  • 生成两张找不同的图片,仅有一处差异,并且这个差异要不明显且复杂,使得寻找难度增加。
  • C# 文件操作