当前位置: 首页 > article >正文

FPGA 使用 CLOCK_LOW_FANOUT 约束

使用 CLOCK_LOW_FANOUT 约束
您可以使用 CLOCK_LOW_FANOUT 约束在单个时钟区域中包含时钟缓存负载。在由全局时钟缓存直接驱动的时钟网段
上对 CLOCK_LOW_FANOUT 进行设置,而且全局时钟缓存扇出必须低于 2000 个负载。
注释: 当与其他时钟约束配合使用时, CLOCK_LOW_FANOUT 的优先级较低。如果 CLOCK_LOW_FANOUT 与诸如
USER_CLOCK_ROOT CLOCK_DELAY_GROUP CLOCK_DEDICATED_ROUTE 等其他时钟约束发生冲突时,那么将不会
遵从 CLOCK_LOW_FANOUT 约束。
下面的示例所示的 CLOCK_LOW_FANOUT 约束主要用于驱动负载不超过 2000 的时钟网络并将其纳入单个时钟区域。输
入时钟端口 clkIn 不仅针对位于 CLOCK_REGION X2Y0 GCIO 提供 PACKAGE_PIN 分配,而且还可驱动 PLLE3_ADV
PLLE3_ADV 可驱动全局时钟缓存,其接下来可驱动带有 1379 个负载的时钟网络。全局时钟缓存的负载均位于
CLOCK_REGION X2Y0
# PACKAGE_PIN AF9 - IOBank 64 - CLOCK_REGION X2Y0
set_property PACKAGE_PIN AF9 [get_ports clkIn]
set_property IOSTANDARD LVCMOS18 [get_ports clkIn]
set_property CLOCK_LOW_FANOUT TRUE [get_nets -of [get_pins clkOut0_bufg_inst/O]]

http://www.kler.cn/a/522500.html

相关文章:

  • 挂载mount
  • 27.useFetch
  • scratch学习教程
  • 《Java核心技术 卷II》日期和时间API的时间线
  • echo ‘export PATH=/usr/local/bin:$PATH‘ >> ~/.bashrc这个和直接添加到/etc/profile有什么区别
  • WS2812 梳理和颜色表示方法的对比:RGB和HSV
  • 简易CPU设计入门:控制总线的剩余信号(二)
  • 双向链表在系统调度、游戏、文本编辑及组态方面的应用
  • 【llm对话系统】LLM 大模型Prompt 怎么写?
  • 2025多目标优化创新路径汇总
  • 快速生成2D卡通人物的AI工具:开启Live2D角色创作的新时代
  • SuperAGI - 构建、管理和运行 AI Agent
  • CAN总线数据采集与分析
  • React第二十六章(createPortal)
  • 学习率衰减策略
  • 常见字符串相关题目
  • Linux之内存管理前世今生(一)
  • 【公式】卢布贬值风险:义乌到俄罗斯贸易的汇率陷阱
  • 图漾相机搭配VisionPro使用简易教程
  • 异或哈希总结
  • 【信息系统项目管理师-选择真题】2013上半年综合知识答案和详解
  • ubuntu x64下交叉编译ffmpeg到目标架构为aarch架构的系统
  • 基于STM32的阿里云智能农业大棚
  • 「 机器人 」扑翼飞行器数据驱动建模浅谈
  • Reinforcement learning 强化学习
  • 【Elasticsearch】脚本查询需要字段时使用的docValues结构吗?