当前位置: 首页 > article >正文

FPGA|Verilog-自己写的SPI驱动

1. 状态变量设置

localparam IDLE = 6'b00_0001;

localparam GEN_DCLK = 6'b00_0010;

localparam ACK = 6'b00_0100;

这里采用状态独热编码(One-Hot Encoding)

在 FPGA 开发中,独热编码能简化组合逻辑、提升时序性能

2. 两段式状态机,明晰跳转条件

3. 采用end_cnt_clk和end_cnt_num结合的方式方便时序控制,准确进行clk_div的分频

4. 仿真效果展示

仿真上板通过 

 5. 全部代码

module spi_driver1(
    input                               clk                        ,
    input                               rst                        ,
    input                               MISO                       ,
    output reg                          MOSI                       ,// 1
    input                               CPOL                       ,
    input                               CPHA                       ,
    input              [   7:0]         data_in                    ,
    output reg         [   7:0]         data_out                   ,//
    input              [  15:0]         clk_div                    ,
    output                              nCS                        ,// 1
    input                               nCTRL                      ,
    output reg                          DCLK                       ,// 1
    input                               wr_req                     ,
    output                              wr_ack                      // 1
);

localparam IDLE     = 6'b00_0001;
localparam GEN_DCLK = 6'b00_0010;
localparam ACK      = 6'b00_0100;

reg [5:0]cstate;
reg [5:0]nstate;

reg [25:0]cnt_clk;
reg [7:0]cnt_num;
reg [7:0]num;

wire [15:0]MAX_CNT = clk_div;
wire add_cnt_clk = cstate != IDLE;
wire end_cnt_clk = add_cnt_clk && cnt_clk == MAX_CNT - 1;
wire add_cnt_num = end_cnt_clk;
wire end_cnt_num = add_cnt_num && cnt_num == num - 1;
assign nCS = nCTRL;
assign wr_ack = cstate == ACK && end_cnt_clk;

always @(posedge clk or posedge rst) begin
    if(rst)begin
        cnt_clk <= 26'd0;
    end else if(add_cnt_clk)begin
        if(end_cnt_clk)
            cnt_clk <= 26'd0;
        else 
            cnt_clk <= cnt_clk + 26'd1;
    end 
end

always @(posedge clk or posedge rst) begin
    if(rst)begin
        cnt_num <= 8'd0;
    end else if(add_cnt_num)begin
        if(end_cnt_num)
            cnt_num <= 8'd0;
        else 
            cnt_num <= cnt_num + 8'd1;
    end 
end

reg [8*20-1:0]state_name;
always @(*) begin
    case (cstate)
    IDLE    :begin num = 1;  state_name = "IDLE    "; end
    GEN_DCLK:begin num = 8;  state_name = "GEN_DCLK"; end
    ACK     :begin num = 1;  state_name = "ACK     "; end
    default :begin num = 1;  state_name = "IDLE    "; end
    endcase
end

wire IDLE_GEN_DCLK  = (cstate == IDLE)      && wr_req           ;
wire GEN_DCLK_ACK   = (cstate == GEN_DCLK)  && end_cnt_num      ; 
wire ACK_IDLE       = (cstate == ACK)       && end_cnt_num      ; 


always @(posedge clk or posedge rst) begin
    if(rst)begin
        DCLK <= (CPOL == 1'b0)?1'b0:1'b1;
    end else if(cstate == GEN_DCLK && (cnt_clk == MAX_CNT/2 - 1 || cnt_clk == MAX_CNT - 1))begin
        DCLK <= ~DCLK;
    end
end

reg flag_mosi;
always @(posedge clk or posedge rst) begin
    if(rst)begin
        MOSI <= 1'b0;
        flag_mosi <= 1'b0;
    end else if(cstate == GEN_DCLK)begin
        if(CPOL == 1'b0 && cnt_clk == 0)begin
            MOSI <= data_in[7 - cnt_num];
            flag_mosi <= 1'b1;
        end else if(CPOL == 1'b1 && cnt_clk == MAX_CNT/2 - 1)begin
            MOSI <= data_in[7 - cnt_num];
            flag_mosi <= 1'b1;
        end else begin 
            flag_mosi <= 1'b0;
        end
    end else if(cstate == ACK)begin
        MOSI <= 1'b0;
        flag_mosi <= 1'b0;
    end 
end

reg flag_data_out;
always @(posedge clk or posedge rst) begin
    if(rst)begin
        data_out <= 8'd0;
        flag_data_out <= 1'b0;
    end else if(cstate == GEN_DCLK)begin
        if(CPOL == 1'b0 && cnt_clk == MAX_CNT/2 - 1)begin
            data_out <= {data_out[6:0],MISO};
            flag_data_out <= 1'b1;
        end else if(CPOL == 1'b1 && cnt_clk == MAX_CNT - 1)begin
            data_out <= {data_out[6:0],MISO};
            flag_data_out <= 1'b1;
        end else begin
            flag_data_out <= 1'b0;
        end
    end else begin
        flag_data_out <= 1'b0;
    end
end

always @(posedge clk or posedge rst) begin
    if(rst)begin
        cstate <= IDLE;
    end else begin
        cstate <= nstate;
    end
end

always @(*) begin
    case (cstate)
    IDLE    :
        if(IDLE_GEN_DCLK)
            nstate = GEN_DCLK;
        else 
            nstate = cstate;
    GEN_DCLK:
        if(GEN_DCLK_ACK)
            nstate = ACK;
        else 
            nstate = cstate;
    ACK     :
        if(ACK_IDLE)
            nstate = IDLE;
        else 
            nstate = cstate;
    default :
            nstate = IDLE;
    endcase
end



endmodule


http://www.kler.cn/a/579983.html

相关文章:

  • 我们在开发时,什么时候用到虚函数和纯虚函数?
  • MacOS安装FFmpeg和FFprobe
  • 洛谷 P1433 吃奶酪
  • Spring Cloud 负载均衡器架构选型
  • 基于51单片机多功能防盗报警系统
  • vulnhub靶场之【digitalworld.local系列】的FALL靶机
  • K8S学习之基础二十:k8s的coredns
  • 全面解读 JavaScript 模块化:模块化工具与性能优化
  • WWDG窗口看门狗原理
  • Qwen/QwQ-32B 基础模型上构建agent实现ppt自动生成
  • 显示器长时间黑屏
  • 【基于手势识别的音量控制系统】
  • 1.1 双指针专题:移动零(easy)
  • 香港服务器深度测评:AWS vs 阿里云 vs GCP 技术选型指南
  • 20天 - TCP 和 UDP 有什么区别?说说 TCP 的三次握手?TCP 是用来解决什么问题?
  • Ubuntu 下 nginx-1.24.0 源码分析 - ngx_cycle_modules
  • C++设计模式中的单例模式:从原理、应用、实践指南与常见问题和解决方案深度解析
  • Node.js和Vue CLI 安装指南(Windows 系统)
  • Python 实现非对称加密的 A 端和 B 端软件的详细步骤及代码示例
  • 电脑维修保养售后服务跟踪软件到哪里下载,佳易王电脑保养维护记录查询可导入图片管理系统操作教程