当前位置: 首页 > article >正文

基于单片机16位智能抢答器设计

**单片机设计介绍,1645【毕设课设】基于单片机16位智能抢答器设计(裁判功能、LCD数码管显示)汇编

文章目录

  • 一 概要
  • 二、功能设计
    • 设计思路
  • 三、 软件设计
    • 原理图
  • 五、 程序
    • 程序
    • 文档
  • 六、 文章目录

一 概要

  基于单片机16位智能抢答器设计(裁判功能、LCD数码管显示)汇编,实现的功能:十六位按键代表不同选手,数码管可以显示抢答时间计时,另两位数码管显示抢答号,并具有裁判按键功能,包含:抢答开始,回答正确和错误按键,复位等功能。

电路:数码管显示电路,单片机电路,抢答按键电路,裁判按键电路,蜂鸣器电路,LCD显示电路,单片机电路等。

二、功能设计

设计思路

设计思路
文献研究法:搜集整理相关单片机智能手环系统相关研究资料,认真阅读文献,为研究做准备;

调查研究法:通过调查、分析、具体试用等方法,发现单片机智能手环系统的现状、存在问题和解决办法;

比较分析法:比较不同单片机智能手环系统的具体原理,以及同一类传感器性能的区别,分析单片机智能手环系统的研究现状与发展前景;

软硬件设计法:通过软硬件设计实现具体硬件实物,最后测试各项功能是否满足要求。

在这里插入图片描述

三、 软件设计

本系统原理图设计采用Altium Designer19,具体如图。在本科单片机设计中,设计电路使用的软件一般是Altium Designer或proteus,由于Altium Designer功能强大,可以设计硬件电路的原理图、PCB图,且界面简单,易操作,上手快。Altium Designer19是一款专业的整的端到端电子印刷电路板设计环境,用于电子印刷电路板设计。它结合了原理图设计、PCB设计、多种管理及仿真技术,能够很好的满足本次设计需求。

————————————————

仿真实现
本设计利用protues8.7软件实现仿真设计,具体如图。

Protues也是在单片机仿真设计中常用的设计软件之一,通过设计出硬件电路图,及写入驱动程序,就能在不实现硬件的情况进行电路调试。另外,protues还能实现PCB的设计,在仿真中也可以与KEIL实现联调,便于程序的调试,且支持多种平台,使用简单便捷。
————————————————

原理图

在这里插入图片描述

五、 程序

本设计利用KEIL5软件实现程序设计,具体如图。作为本科期间学习的第一门编程语言,C语言是我们最熟悉的编程语言之一。当然,由于其功能强大,C语言是当前世界上使用最广泛、最受欢迎的编程语言。在单片机设计中,C语言已经逐步完全取代汇编语言,因为相比于汇编语言,C语言编译与运行、调试十分方便,且可移植性高,可读性好,便于烧录与写入硬件系统,因此C语言被广泛应用在单片机设计中。keil软件由于其兼容单片机的设计,能够实现快速调试,并生成烧录文件,被广泛应用于C语言的编写和单片机的设计。
在这里插入图片描述

————————————————

程序

在这里插入图片描述

文档

在这里插入图片描述

六、 文章目录

目 录

摘 要 I
Abstract II
引 言 1
1 控制系统设计 2
1.1 主控系统方案设计 2
1.2 传感器方案设计 3
1.3 系统工作原理 5
2 硬件设计 6
2.1 主电路 6
2.1.1 单片机的选择 6
2.2 驱动电路 8
2.2.1 比较器的介绍 8
2.3放大电路 8
2.4最小系统 11
3 软件设计 13
3.1编程语言的选择 13
4 系统调试 16
4.1 系统硬件调试 16
4.2 系统软件调试 16
结 论 17
参考文献 18
附录1 总体原理图设计 20
附录2 源程序清单 21
致 谢 25

1615[毕设课设]基于STM32的空气净化器设计


http://www.kler.cn/news/108497.html

相关文章:

  • 圆锥面积 题解
  • 汇总下之RobotFramework自动化框架的系列文章
  • 计网强化
  • RSA ——Rational Structure Architecture r入门教程
  • 360智慧生活旗舰产品率先接入“360智脑”能力实现升级
  • AI:40-基于深度学习的森林火灾识别
  • SparkStreaming【实例演示】
  • Kotlin协程核心理解
  • 计算机网络重点概念整理-第四章 网络层【期末复习|考研复习】
  • pytorch-fastrcnn识别王者荣耀敌方英雄血条
  • Linux中shell脚本练习
  • 【Python 高级特性】深入 NamedTuple 命名元组
  • 第四部分:JavaScript
  • GPT-我的左膀右臂
  • 14 结构性模式-适配器模式
  • 数字信号处理、语音信号处理、现代信号处理
  • 网络原理之TCP/IP
  • 基于引力搜索算法的无人机航迹规划-附代码
  • 吴恩达《机器学习》2-5->2-7:梯度下降算法与理解
  • 基于鸟群算法的无人机航迹规划-附代码
  • etcd问题
  • 前端重新部署如何通知用户更新
  • 【微信小程序开发】学习小程序的网络请求和数据处理
  • vue项目package.json与package-lock.json作用及区别
  • vscode连接服务器一直retry
  • spring boot项目优雅停机
  • 网络协议--TCP的交互数据流
  • 剑指JUC原理-5.synchronized底层原理
  • Less的基本语法
  • 【Mysql】数据库三大范式