当前位置: 首页 > article >正文

「Verilog学习笔记」根据状态转移表实现时序电路

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

分析

可得逻辑表达式为Q_{1}^{n+1}=A\bigoplus Q_{1}^{n}\bigoplus Q_{0}^{n}


可得逻辑表达式为Q_{0}^{n+1}={Q_{0}^{n}}'


`timescale 1ns/1ns

module seq_circuit(
      input                A   ,
      input                clk ,
      input                rst_n,
 
      output   wire        Y   
);
    reg q0, q1 ; 

    always @ (posedge clk or negedge rst_n) begin 
        if (~rst_n) begin 
            q1 <= 0 ; 
        end 
        else begin 
            q1 <= A ^ q0 ^ q1 ; 
        end 
    end 

    always @ (posedge clk or negedge rst_n) begin 
        if (~rst_n) begin 
            q0 <= 0 ; 
        end 
        else begin 
            q0 <= ~q0 ; 
        end 
    end

    assign Y = q0 & q1 ; 

endmodule

http://www.kler.cn/a/133398.html

相关文章:

  • PCA 原理推导
  • Dubbo 3.2 源码导读
  • 【MySQL 保姆级教学】详细讲解视图--(15)
  • Linux 命令行配置为单臂旁路由。
  • 【C++】—— map 与 set 深入浅出:设计原理与应用对比
  • git命令提交项目
  • Linux_安装docker
  • TS的函数如何定义类型
  • 【手写数据库toadb】SQL解析器的实现架构,create table/insert 多values语句的解析树生成流程和输出结构分析
  • 如何将vscode和Linux远程链接:
  • 节点导纳矩阵
  • redis命令记录
  • 【cpolar】Ubuntu本地快速搭建web小游戏网站,公网用户远程访问
  • 【开源】基于JAVA的大学兼职教师管理系统
  • 037、目标检测-SSD实现
  • Flume学习笔记(4)—— Flume数据流监控
  • 酷柚易汛ERP - 序列号盘点操作指南
  • YOLOv7独家原创改进:最新原创WIoU_NMS改进点,改进有效可以直接当做自己的原创改进点来写,提升网络模型性能精度
  • 关于爬虫中的hook(defineProperty,hook cookies, hook载荷数据,hookXHR)
  • 数字IC前端学习笔记:异步复位,同步释放
  • 「项目阅读系列」go-gin-example star 6.5k!(1)
  • <Linux>(极简关键、省时省力)《Linux操作系统原理分析之Linux 进程管理 2》(6)
  • 解决React遍历每次渲染多个根元素导致无法为元素赋值key的问题
  • 使用Spark SQL读取阿里云OSS的数据
  • 最强人工智能ChatGPT引领AIGC发展
  • 294_C++_报警状态bit与()上通道bit,然后检测置位的通道,得到对应置位通道的告警信息,适用于多通道告警,组成string字符串发送