当前位置: 首页 > article >正文

FPGA图像处理仿真:生成数据源的方法

免责声明:本文所提供的信息和内容仅供参考。作者对本文内容的准确性、完整性、及时性或适用性不作任何明示或暗示的保证。在任何情况下,作者不对因使用本文内容而导致的任何直接或间接损失承担责任,包括但不限于数据丢失、业务中断或其他经济损失。

读者在使用本文信息时,应自行验证其准确性和适用性,并对其使用结果负责。本文内容不构成专业技术咨询或建议,具体的技术实现和应用应根据实际情况和需要进行详细分析和验证。

本文所涉及的任何商标、版权或其他知识产权均属于其各自的所有者。若本文中引用了第三方的资料或信息,引用仅为学术交流目的,不构成对第三方内容的认可或保证。

若有任何疑问或需进一步信息,请联系本文作者或相关专业人士。


前言

本章将介绍如何在仿真中将图像转化为数据流。


一、定义变参

     parameter     IMG_W  = 640 ,//图像宽度
     parameter     IMG_H  = 480 ,//   高度
     parameter DATA_WIDTH = 64   //输出的位宽

二、定义模块接口

(
     input                        clk          ,
     input                        rst_n        ,
     output                       hsync        ,
     output                       vsync        ,
     output    [DATA_WIDTH-1:0]   data 
    );

三、定义图像存储矩阵并初始化

reg  [DATA_WIDTH-1:0] array [(IMG_W*IMG_H*8/DATA_WIDTH)-1:0];

initial 
    begin
	$readmemh("C:/Users/ROG/Desktop/INTR_PRJ/project_1/project_1.sim/480.txt",array);
    end

四、定义固参

localparam total_h =  IMG_W/(DATA_WIDTH/8) + 100 ;
localparam total_v =  IMG_H + 30 ;

注:多出来的行列用于图像消隐

五、逻辑设计

原理:通过两个计数器来产生hsync、vsync,以及矩阵索引index,最后打拍同步

reg  [31:0]  index   ;
reg  [15:0]  cnt_h   ;
reg  [15:0]  cnt_v   ;
reg          valid_h ;
reg          valid_v ;

always@(posedge clk or negedge rst_n)
   if(!rst_n)
        cnt_h <= 'd0;
   else if(cnt_h>=total_h-1)
        cnt_h <= 'd0;  
   else 
        cnt_h <= cnt_h + 1'b1;
        
always@(posedge clk or negedge rst_n)
   if(!rst_n)       
        cnt_v <= 'd0;
   else if(cnt_h>=total_h-1 && cnt_v>=total_v-1)  
        cnt_v <= 'd0;
   else if(cnt_h>=total_h-1)
        cnt_v <= cnt_v + 1'b1;
   else 
        cnt_v <= cnt_v;
        
always@(posedge clk or negedge rst_n)
   if(!rst_n)
         valid_h <= 1'd0;
   else if(cnt_h>(100-1))       
         valid_h <= 1'd1;
   else 
         valid_h <= 1'd0; 
        
always@(posedge clk or negedge rst_n)
   if(!rst_n)        
         valid_v <= 1'b0;
   else if(cnt_v>(30-1))  
         valid_v <= 1'b1;
   else 
         valid_v <= 1'b0;
        
always@(posedge clk or negedge rst_n)
   if(!rst_n) 
         index <= 'd0;
   else if(valid_v && valid_h)
           if(index==(IMG_W*IMG_H*8/DATA_WIDTH)-1)
                  index <= 'd0;
           else 
                  index <= index + 1'b1;
   else 
         index <= index;
    
    
always@(posedge clk or negedge rst_n)
   if(!rst_n) 
         vsync <= 1'b0;
   else 
         vsync <= valid_v; 

always@(posedge clk or negedge rst_n)
   if(!rst_n) 
        data <= 'd0;
   else if(valid_v && valid_h)
        data <= array[index];
   else 
        data <= 'd0;      
 
always@(posedge clk or negedge rst_n)
   if(!rst_n)     
        hsync <= 1'b0;
   else 
        hsync <= valid_v & valid_h;

六、仿真

注:TXT文件中的单个数据的长度需要与DATA_WIDTH一致,否则会出现数据不定态。


总结

再见


http://www.kler.cn/a/376016.html

相关文章:

  • 高效水电管理:Spring Boot在大学城的应用
  • Vue3+TypeScript+Vite 后台管理项目_登录页面开发实战
  • 【销帮帮-注册/登录安全分析报告-试用页面存在安全隐患】
  • git cherry-pick用法详解
  • 【MySQL】MySQL安装以及各种报错处理
  • 从零开始构建 ChatGPT
  • Diving into the STM32 HAL-----HAL_GPIO
  • vscode 模板代码片段快捷配置
  • Unreal5从入门到精通之Sequencer关卡序列的用法
  • 什么是护网(HVV)需要什么技术?(内附护网超全资料包)
  • CSS3新增背景属性(四)
  • 1007:计算(a+b)×c的值
  • 什么是安全情报?
  • Excel:vba实现插入图片
  • LLM 使用 Elastic 实现可观察性:Azure OpenAI (二)
  • 数据结构 - 散列表,再探
  • Java和C++有什么区别?JVM不是跨平台的?JVM是用什么语言编写的?
  • 【UGUI】为射击游戏添加动态显示的分数和血量到UI界面
  • 跟我学C++中级篇——内联的语义
  • qt QDialog详解
  • static全局/局部变量/函数和普通全局/局部变量/函数的区别
  • 数据结构初识及顺序表详解
  • Mysql 8.4.3LTS 的离线部署
  • vue中时间插件moment
  • Java中的JVM(Java虚拟机)是什么?
  • 【OD-支持在线评测】电脑病毒感染(200分)