当前位置: 首页 > article >正文

#systemverilog# 关于 randomize(a) 却报 b 失败的疑问

在函数中 ,重新约束了类内的随机变量。请看如下代码:

`timescale 1ns/1ps 
`include "uvm_macros.svh"
import uvm_pkg::*;
 
class my_obj1 extends uvm_test;
 
  `uvm_component_utils(my_obj1)
   
   rand int  src;
   
   rand int dst;
   constraint dst_c {
      dst inside {[7:9]};
   }

  function new(string name = "my_obj1" , uvm_component parent = null );
    super.new(name, parent);
  endfunction // new
 
  extern virtual function print ();
 
  virtual task run_phase(uvm_phase phase);
     super.run_phase(phase);
  endtask 
 
endclass //
 
function my_obj1::print();
    randomize (src)   with {(src) inside {[5:5]};} ;  
endfunction

 
module tb();
  
import uvm_pkg::*;
`include "uvm_macros.svh"
 
  initial begin
    

http://www.kler.cn/a/404178.html

相关文章:

  • 系统性能优化方法论详解:从理解系统到验证迭代
  • 深入理解 Java 阻塞队列:使用场景、原理与性能优化
  • iOS应用网络安全之HTTPS
  • 什么是反向 DNS 查找以及它的作用是什么?
  • MyBatis的resultType和resultMap区别
  • 三种复制只有阅读权限的飞书网络文档的方法
  • pytorch经典训练流程
  • 【运维自动化-作业平台】如何使用全局变量之数组类型?
  • C#桌面应用制作计算器进阶版01
  • 空间与单细胞转录组学的整合定位肾损伤中上皮细胞与免疫细胞的相互作用
  • 稀疏最大谐波噪声比解卷积算法MATLAB实战
  • 十八:HTTP包体的传输方式(1):定长包体
  • 如何删除pdf里的任意一页?删除PDF里任意一页的几种方法
  • 算法知识-13-链表
  • 蓝桥杯每日真题 - 第20天
  • 「Mac玩转仓颉内测版25」基础篇5 - 布尔类型详解
  • HTTP 协议的作用
  • [开源] SafeLine 好用的Web 应用防火墙(WAF)
  • Java Swing-1.基本概念及组件
  • [每日一氵] 拆分 pip install git+https://github.com/xxx/xx.git@bece3d4
  • 优化 Solana 程序
  • 嵌入式硬件杂谈(四)-高速板PCB设计 高速信号全面讲解 蛇形线 等长线 差分对 阻抗对
  • Go语言并发控制:sync.Mutex、sync.RWMutex和sync.WaitGroup详解
  • ML 系列:第 26 节 - 连续概率分布(均匀分布)
  • 【数据结构】【线性表】栈的基本概念(附c语言源码)
  • Android Studio不显示需要的tag日志解决办法《All logs entries are hidden by the filter》