基于正点原子潘多拉STM32L496开发板的简易示波器

一、前言

        由于需要对ADC采样性能的评估,重点在于对原波形的拟合性能。

        考虑到数据的直观性,本来计划采集后使用串口导出,并用图形做数据拟合,但是这样做的效率低下,不符合实时观察的需要,于是将开发板的屏幕用作波形模拟展示,虽然精度有所丢失,但是波形没有太大变形,有一定参考价值。

        目前开发板的版本是V3.4,主控STM32L496VET6。选择该主控的原因是adc部分的时钟是独立且可以调整的,F1和F4系列的时钟频率相对较低,最高36Mhz。

        L496可以到80Mhz,最大精度下采样率能够达到5.33Mhz,可以很大程度提高实验要求的实时性。

二、设计思路

        设计的关键在于ADC的采集方式和LCD的显示方式。

1、ADC配置

        在官方例程的框架基础上做了一些修改:

例程用的2倍分频,所以ADC时钟为40MHz,我改为了1分频,达到80MHz。其他根据自己需要修改。

通道配置部分,例程是放在了测量的时候配置,由于实时采集需要高频调用,于是我把这部分放到了初始化中,只保留了必须的部分:

例程为了可以实现任意通道的采样,所以在测量时才配置对应通道。但是要注意,初始化函数只对一个引脚做了配置,如果要测量其他通道还需要自行配置其他引脚。

时钟源可以参考CubeMX生成的代码,有多种组合方式,这里我采用最简单的,挂在系统时钟上:

2、定时器配置

       定时器就是正常配置为计数,开启溢出中断,没有使用其他功能。

        这部分没有采用定时器内置的触发ADC检测,我使用更为简单的标志位,这样便于调试和查错:

        count是测量计数,tim_flag是定时器溢出标志,用于主函数启动ADC采样。

3、led显示配置

这部分参考另一位博主的设计思路,在屏幕上建立坐标系,建立网格线:

        所有用于显示的函数都是使用官方的LCD驱动代码,要做的就是调整显示区域和颜色。

4、波形绘制

        最重要的就是波形模拟,这部分的主要思路是采集230次为一组,就是要显示的像素宽度,将每一次采集的数据保存,然后暂停定时器,处理数据,将模拟量转为电压值,找到最大最小值,刷新到屏幕,每两个相邻点之间连线,最后将计数值清零,开启定时器。

        描述的比较硬核,看代码就明白了:

if(count >= LCD_Width - 10)//如果显示的个数达到要求
{
    HAL_TIM_Base_Stop_IT(&TIM3_Handler);//定时器暂时关闭,先处理数据
    Display_Voltage_Net();	

    max = D_arr[0] * 3300 / 4096;
    min = D_arr[0] * 3300 / 4096;
    for(count = 0; count < LCD_Width - 10; count++)//进行最大值和最小值等处理
    {
        D_arr[count] = D_arr[count] * 3300 / 4096;//模拟量换成数字量电压,1mV为量度 
        if(D_arr[count] > max)
        {
            max = D_arr[count];
        }
        if(D_arr[count] < min)
        {
            min = D_arr[count];
        }
    }

    //最大值和最小值显示
    POINT_COLOR = BLACK;//设置画笔为黑色
    LCD_ShowString(0, 15, 20, 12, 12, "max");
    LCD_ShowNum(0, 27, max, 4, 12);
    LCD_ShowString(0, LCD_Height - 30 - 12, 20, 12, 12, "min");
    LCD_ShowNum(0, LCD_Height - 30, min, 4, 12);

    //显示处理
    for(count = 0; count < LCD_Width - 10; count++)
    {
        D_arr[count] = D_arr[count] / 3300 * (LCD_Height - 20);//显示范围
    }
    
    //数据显示在LCD上
    for(count = 10; count < LCD_Width - 11; count++)
    {
        //LCD_DrawLine(count + 10, LCD_Height - 10 - D_arr[count], count + 11, LCD_Height - 10 - D_arr[count + 1]);
        LCD_DrawLine(count, LCD_Height - 10 - D_arr[count], count + 1, LCD_Height - 10 - D_arr[count + 1]);
    }
    count = 0;//重新进行数据获取
    delay_ms(1000);
    HAL_TIM_Base_Start_IT(&TIM3_Handler);//定时器重新启动
}

三、实验结果

        根据本次实验的设计方式,实测定时器最快只能设置10微妙定时,更小的话会采样乱码,目前还没找到原因。

TIM3_Init(10 - 1, 80 - 1);//定时器3初始化 10us

        自动装载值为10,预分频80。也就是屏幕每一帧显示范围10us * 220  = 2.2毫秒,周期在该范围内的都能正常显示一帧,在频率为10khz时,波形较密集,应该是能看清的最高频率。

        读者可根据需要调整定时器的配置,低于10khz的方波都可以较清晰的模拟出来。

源码已上传至Gitee:

stm32: 一些stm32模块使用经验记录 - Gitee.comicon-default.png?t=N7T8https://gitee.com/lrf1125962926/stm32/tree/Waveform_simulation/

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.kler.cn/a/273047.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

【Unity】Transform、Rigidbody、CharacterController移动

前言 在使用Unity开发的时候&#xff0c;移动是最最基础的一个需求&#xff0c;我来给大家简单的讲一下Unity中的几种常见的移动方法。 1.Transform移动 Transform移动就是修改物体的position ①修改位置 这里要注意&#xff1a;坐标分为世界坐标和本地坐标 //将物体的世界坐…

Linux:搭建ntp服务器

我准备两个centos7服务器 一个为主服务器连接着外网&#xff0c;并且搭建了ntp服务给其他主机同步 另外一个没有连接外网&#xff0c;通过第一台设备去同步时间 首先两个服务器都要安装ntp软件 yum -y install ntp 再把他俩的时间都改成别的 左侧的是主服务器&#xff0c;主…

Python面试笔记

Python面试笔记 PythonQ. Python中可变数据类型与不可变数据类型&#xff0c;浅拷贝与深拷贝详解Q. 解释什么是lambda函数&#xff1f;它有什么好处&#xff1f;Q. 什么是装饰器&#xff1f;Q. 什么是Python的垃圾回收机制&#xff1f;Q. Python内置函数dir的用法&#xff1f;Q…

Vue.js+SpringBoot开发食品生产管理系统

目录 一、摘要1.1 项目介绍1.2 项目录屏 二、功能模块2.1 加工厂管理模块2.2 客户管理模块2.3 食品管理模块2.4 生产销售订单管理模块2.5 系统管理模块2.6 其他管理模块 三、系统展示四、核心代码4.1 查询食品4.2 查询加工厂4.3 新增生产订单4.4 新增销售订单4.5 查询客户 五、…

【GPT-SOVITS-02】GPT模块解析

说明&#xff1a;该系列文章从本人知乎账号迁入&#xff0c;主要原因是知乎图片附件过于模糊。 知乎专栏地址&#xff1a; 语音生成专栏 系列文章地址&#xff1a; 【GPT-SOVITS-01】源码梳理 【GPT-SOVITS-02】GPT模块解析 【GPT-SOVITS-03】SOVITS 模块-生成模型解析 【G…

18个惊艳的可视化大屏(第26辑):航空与运输业

hello&#xff0c;我是贝格前端工场老司机&#xff0c;这是第26期了&#xff0c;本次带来可视化大屏在航空与运输业的应用案例&#xff0c;喜欢文章的别忘点赞关注&#xff0c;文章底部也有其他行业的案例。 可视化大屏在航空与运输业中具有以下九大价值&#xff1a; 实时监控…

基于单片机的老人防丢系统设计

目 录 摘 要 I Abstract II 引 言 3 1 系统总体架构 6 1.1方案设计与选择 6 1.2 系统架构设计 6 1.3 系统器件选择 7 2 系统硬件设计 9 2.1 单片机外围电路设计 9 2.2 LCD1602液晶显示电路设计 12 2.3 短信模块电路设计 14 2.4 GPS模块电路设计 14 2.5 电源与按键控制电路设计…

python 基础知识点(蓝桥杯python科目个人复习计划65)

今日复习内容&#xff1a;做题 例题1&#xff1a;遥远的雪国列车 问题描述&#xff1a; 小蓝和小红今天在房间里一起看完了“雪国列车”这部电影&#xff0c;看完之后他们感触颇深&#xff0c;同时他们想到了这样一道题目&#xff1a; 现在有一个数轴&#xff0c;长度为N&a…

yocto系列之针对从git仓库获取源代码编写recipe

回顾 针对借助yocto构建linux 镜像我们已经讲述了7部分&#xff0c; 简单回顾如下&#xff1a; Yocto: 第1部分 - yocto系列之yocto是个什么东东 https://mp.csdn.net/mp_blog/creation/editor/136742286 Yocto: 第2部分 - yocto系列之配置ubuntu主机 https://mp.csdn.net…

2024蓝桥杯每日一题(DFS)

备战2024年蓝桥杯 -- 每日一题 Python大学A组 试题一&#xff1a;奶牛选美 试题二&#xff1a;树的重心 试题三&#xff1a;大臣的差旅费 试题四&#xff1a;扫雷 试题一&#xff1a;奶牛选美 【题目描述】 听说最近两斑点的奶牛最受欢迎&#xff0c;…

2024/3/14打卡棋子(14届蓝桥杯)——差分

标准差分模板 差分——前缀和的逆运算&#xff08;一维二维&#xff09;-CSDN博客 题目 小蓝拥有 nn 大小的棋盘&#xff0c;一开始棋盘上全都是白子。 小蓝进行了 m 次操作&#xff0c;每次操作会将棋盘上某个范围内的所有棋子的颜色取反(也就是白色棋子变为黑色&#xff0…

【Godot4.2】任意多边形或折线围绕任意点旋转

概述 在很多绘图软件中&#xff0c;都会有对于任意图形围绕给定的旋转中心旋转的基本操作。本节就基于Godot实现任意多边形&#xff08;Polygon&#xff09;或折线&#xff08;Polyline&#xff09;绕任意旋转中心&#xff08;在图形内或外都可以&#xff09;进行旋转。 基本…

Git 仓库瘦身与 LFS 大文件存储

熟悉 Git 的小伙伴应该都知道随着 Git 仓库维护的时间越来越久&#xff0c;追踪的文件越来越多&#xff0c;git 存储的 objects 数量会极其庞大&#xff0c;每次从远程仓库 git clone 的时候都会墨迹很久。如果我们不小心 git add 了一个体积很大的文件&#xff0c;且 git push…

IT系统可观测性

什么是可观测性 可观测性&#xff08;Observability&#xff09;是指能够从系统的外部输出推断出系统内部状态的能力。在IT和云计算领域&#xff0c;它涉及使用软件工具和实践来收集、关联和分析分布式应用程序以及运行这些应用程序的硬件和网络产生的性能数据流。这样做可以更…

2024年发布jar到国外maven中央仓库最新教程

2024年发布jar到国外maven中央仓库最新教程 文章目录 1.国外sonatype仓库的版本1.1老OSSHR账号注册说明1.2新账号注册说明 2.新账号注册(必选)3.新账号登录创建Namespace3.1创建Namespace的名字的格式要求&#xff08;必选&#xff09;3.2发布一个静态网站&#xff08;可选&…

后端工程师快速使用axios

文章目录 01.AJAX 概念和 axios 使用模板目标讲解代码解析案例前端后端结果截图 02.URL 查询参数模板目标讲解案例前端后端结果截图 03.常用请求方法和数据提交模板目标讲解案例前端后端结果截图 04.axios 错误处理模板目标讲解案例前端后端结果截图 01.AJAX 概念和 axios 使用…

旅游管理系统|基于SpringBoot+ Mysql+Java+Tomcat技术的旅游管理系统设计与实现(可运行源码+数据库+设计文档+部署说明+视频演示)

推荐阅读100套最新项目 最新ssmjava项目文档视频演示可运行源码分享 最新jspjava项目文档视频演示可运行源码分享 最新Spring Boot项目文档视频演示可运行源码分享 目录 前台功能效果图 用户功能 管理员功能登录前台功能效果图 系统功能设计 数据库E-R图设计 lunwen参考 …

数据的响应式:实现动态数据驱动的技巧

&#x1f90d; 前端开发工程师、技术日更博主、已过CET6 &#x1f368; 阿珊和她的猫_CSDN博客专家、23年度博客之星前端领域TOP1 &#x1f560; 牛客高级专题作者、打造专栏《前端面试必备》 、《2024面试高频手撕题》 &#x1f35a; 蓝桥云课签约作者、上架课程《Vue.js 和 E…

弗洛伊德-华沙算法求任意两点之间的最短路径算法

对于弗洛伊德-华沙算法首先是要假设研究的图中是不包含有负边的&#xff0c;对于所给的图中的任意亮点v1&#xff0c;vm&#xff0c;假设两点之间存在一条连通路径&#xff0c;对于该路径中去掉头和尾节点&#xff0c;也就是v1&#xff0c;vm&#xff0c;剩下的节点也就称之为这…

【嵌入式学习收徒,高薪offer等你来!!!】

有粉丝问了一个问题&#xff0c;说他今年要毕业了&#xff0c;投了好多简历都石沉大海&#xff0c;感觉好多公司都不招人了&#xff0c;想问一下现在究竟是不是如此&#xff0c;不清楚我当年毕业的时候是怎么样的。 我先不直接回答这个问题&#xff0c;先来看一组数据&#xf…
最新文章